حافظه دسترسی تصادفی پویای جاسازی شده بر مبنای سلول بهره ۵ ترانزیستوری، به صورت کم توان و با زمان نگهداری بالا در فناوری های فین فت کمتر از ۲۲ نانومتر

سال انتشار: 1401
نوع سند: مقاله ژورنالی
زبان: فارسی
مشاهده: 267

فایل این مقاله در 12 صفحه با فرمت PDF قابل دریافت می باشد

استخراج به نرم افزارهای پژوهشی:

لینک ثابت به این مقاله:

شناسه ملی سند علمی:

JR_JIAE-19-2_011

تاریخ نمایه سازی: 4 تیر 1401

چکیده مقاله:

در این مقاله، یک سلول GC-eDRAM ۵ ترانزیستوری در فناوری فین فت ارائه می گردد. این حافظه، با به کارگیری هر دو نوع ترانزیستورهای نوع p و نوع n برای حذف اثر کوپلاژ خازنی، استفاده ترکیبی از ولتاژهای آستانه و نیز بهره گیری از اثر پشته جهت بهبود مصرف توان ایستا، طراحی شده است. به منظور دستیابی به زمان نگهداری بالا، از ترانزیستورهای کم توان در مسیر خرابی داده به صورت سری استفاده شده تا جریان نشتی عبوری از این مسیر به دلیل اثر پشته، کاهش یافته و مصرف توان ایستا کم شود. در نتیجه خرابی کندتر داده های یک و صفر، زمان نگهداری داده بهبود یافته و بنابراین فرکانس نوسازی، توان نوسازی و توان نگهداری کاهش خواهد یافت. سلول پیشنهادی دارای ساختار نوین بوده و دارای بالاترین زمان نگهداری داده و کمترین توان ایستا و توان نگهداری در بین ساختارهای GC-eDRAM مشابه است؛ از این رو همزمان در طبقه بندی های فوق توان پایین و پرسرعت قرار دارد. سلول پیشنهادی در تمام ابعاد کمتر از ۲۲ نانومتر و با استفاده از نرم افزار HSPICE شبیه سازی شده است و در گره فناوری ۲۰ نانومتر، نسبت به سلول ۴ ترانزیستوری، در فناوری ۲۸ نانومتر FD-SOI، ۱۹۵برابر زمان نگهداری، ۸۰% کاهش مصرف توان ایستا و ۴۸% کاهش مساحت را نشان می دهد.

کلیدواژه ها:

نویسندگان

بهاره سیدزاده ثانی

Science and Research Branch

بهزاد ابراهیمی

Science and Research Branch

مراجع و منابع این مقاله:

لیست زیر مراجع و منابع استفاده شده در این مقاله را نمایش می دهد. این مراجع به صورت کاملا ماشینی و بر اساس هوش مصنوعی استخراج شده اند و لذا ممکن است دارای اشکالاتی باشند که به مرور زمان دقت استخراج این محتوا افزایش می یابد. مراجعی که مقالات مربوط به آنها در سیویلیکا نمایه شده و پیدا شده اند، به خود مقاله لینک شده اند :
  • P. Magarshack, P. Flatresse, G. Cesana, "UTBB FD-SOI: a Process/Design ...
  • R. Giterman, A. Fish, A. Burg, and A. Teman, "A ...
  • N. H. E. Weste and D. M. Harris, “CMOS VLSI ...
  • P. Meinerzhagen, A. Pal, “Low-Power VLSI Circuits and Systems”, India: ...
  • R. Giterman, Y. Weizman, and A. Teman, "Gain-Cell Embedded DRAM-Based ...
  • سهیلا قصابی مبارک آبادی، علیرضا حسن زاده، "طراحی حافظه مغناطیسی ...
  • زهرا حسینی، آرش دقیقی، "بررسی و شبیه سازی تاثیر میزان ...
  • نیره قبادی، علی افضلی کوشا، "بررسی و مدل سازی اثر ...
  • مهدی مرادی نسب، مرتضی فتحی پور، "مدل بسته جریان-ولتاژ در ...
  • R. Giterman, A. Teman, P. Meinerzhagen, L. Atias, A. Burg ...
  • O. Maltabashi, H. Marinberg, R. Giterman and A. Teman, "A ...
  • E. Amat, A. Calomarde, F. Moll, R. Canal and A. ...
  • E. Amat, R. Canal, A. Rubio, “Modem gain-cell memories in ...
  • S. K Hadia, R. R. Patel and Dr. Y. P. ...
  • R. S. Pal, S. Sharma and S. Dasgupta, "Recent trend ...
  • R. Giterman, A. Teman and P. Meinerzhagen, "Hybrid GC-eDRAM/SRAM Bitcell ...
  • A. Muttreja, N. Agarwal, and N. K. Jha, “CMOS logic ...
  • P. Jay and A. D. Darji, "Analysis of the source/drain ...
  • P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, ...
  • J. M. Rabaey, “Low Power Design Essentials”, Springer, ۲۰۰۹ ...
  • A. Bonetti, R. Golman, R. Giterman, A. Teman and A. ...
  • S. Salahuddin, Hailong Jiao and V. Kursun, "A novel ۶T ...
  • R. Golman, R. Giterman and A. Teman, "Configurable Multi-Port Dynamic ...
  • R. Giterman, A. Bonetti, E. V. Bravo, T. Noy, A. ...
  • R. Giterman, L. Atias and A. Teman, "Area and Energy ...
  • W. Wei, “Novel Paradigms and Designs of Nanometric Memories”, PhD ...
  • S. Ganapathy, A. Teman, R. Giterman, A. Burg, G. Karakonstantis, ...
  • D. Somasekhar et al., "۲ GHz ۲ Mb ۲T Gain ...
  • A. Kazimirsky and S. Wimer, "Opportunistic Refreshing Algorithm for eDRAM ...
  • Mohammad Ansari, Hassan Afzali-Kusha, Behzad Ebrahimi, Zainalabedin Navabi, Ali Afzali-Kusha, ...
  • R. Wakemoto, Y. Takahashi and T. Sekine, “FinFET ۴T-SRAM operable ...
  • J. Yang et al., "A Double Sensing Scheme With Selective ...
  • D. Reis et al., "Design and Analysis of an Ultra-Dense, ...
  • P. Magarshack, P. Flatresse, G. Cesana, "UTBB FD-SOI: a Process/Design ...
  • R. Giterman, A. Fish, A. Burg, and A. Teman, "A ...
  • N. H. E. Weste and D. M. Harris, “CMOS VLSI ...
  • P. Meinerzhagen, A. Pal, “Low-Power VLSI Circuits and Systems”, India: ...
  • R. Giterman, Y. Weizman, and A. Teman, "Gain-Cell Embedded DRAM-Based ...
  • سهیلا قصابی مبارک آبادی، علیرضا حسن زاده، "طراحی حافظه مغناطیسی ...
  • زهرا حسینی، آرش دقیقی، "بررسی و شبیه سازی تاثیر میزان ...
  • نیره قبادی، علی افضلی کوشا، "بررسی و مدل سازی اثر ...
  • مهدی مرادی نسب، مرتضی فتحی پور، "مدل بسته جریان-ولتاژ در ...
  • R. Giterman, A. Teman, P. Meinerzhagen, L. Atias, A. Burg ...
  • O. Maltabashi, H. Marinberg, R. Giterman and A. Teman, "A ...
  • E. Amat, A. Calomarde, F. Moll, R. Canal and A. ...
  • E. Amat, R. Canal, A. Rubio, “Modem gain-cell memories in ...
  • S. K Hadia, R. R. Patel and Dr. Y. P. ...
  • R. S. Pal, S. Sharma and S. Dasgupta, "Recent trend ...
  • R. Giterman, A. Teman and P. Meinerzhagen, "Hybrid GC-eDRAM/SRAM Bitcell ...
  • A. Muttreja, N. Agarwal, and N. K. Jha, “CMOS logic ...
  • P. Jay and A. D. Darji, "Analysis of the source/drain ...
  • P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, ...
  • J. M. Rabaey, “Low Power Design Essentials”, Springer, ۲۰۰۹ ...
  • A. Bonetti, R. Golman, R. Giterman, A. Teman and A. ...
  • S. Salahuddin, Hailong Jiao and V. Kursun, "A novel ۶T ...
  • R. Golman, R. Giterman and A. Teman, "Configurable Multi-Port Dynamic ...
  • R. Giterman, A. Bonetti, E. V. Bravo, T. Noy, A. ...
  • R. Giterman, L. Atias and A. Teman, "Area and Energy ...
  • W. Wei, “Novel Paradigms and Designs of Nanometric Memories”, PhD ...
  • S. Ganapathy, A. Teman, R. Giterman, A. Burg, G. Karakonstantis, ...
  • D. Somasekhar et al., "۲ GHz ۲ Mb ۲T Gain ...
  • A. Kazimirsky and S. Wimer, "Opportunistic Refreshing Algorithm for eDRAM ...
  • Mohammad Ansari, Hassan Afzali-Kusha, Behzad Ebrahimi, Zainalabedin Navabi, Ali Afzali-Kusha, ...
  • R. Wakemoto, Y. Takahashi and T. Sekine, “FinFET ۴T-SRAM operable ...
  • J. Yang et al., "A Double Sensing Scheme With Selective ...
  • D. Reis et al., "Design and Analysis of an Ultra-Dense, ...
  • نمایش کامل مراجع