بررسی روشهای کاهش تاخیر دسترسی به حافظه اصلی در چند هسته ای های مبتنی برشبکه برروی تراشه

سال انتشار: 1393
نوع سند: مقاله کنفرانسی
زبان: فارسی
مشاهده: 732

فایل این مقاله در 7 صفحه با فرمت PDF قابل دریافت می باشد

استخراج به نرم افزارهای پژوهشی:

لینک ثابت به این مقاله:

شناسه ملی سند علمی:

CSITM01_098

تاریخ نمایه سازی: 10 شهریور 1393

چکیده مقاله:

برای دسترسی به کارایی بالا با ظهور تکنولوژی چند هسته ای ها تعداد هسته ها افزایش پیدا می کند اما افزایش تعداد هسته هادر شبکه منجر به دسترسی هایی به حافظه اصلی میشود که این دسترسی خود باعث ایجاد تاخیر در شبکه خواهد شد. امابهبود این تاخیر باید با مراقبت انجام شود که منجر به افزایش تاخیر در دسترسی به منابع مشترک دیگر در شبکه نگردد. تاخیرخود شبکه بین مبدا ومقصد برابر است با مجموع تعداد مسیریاب ها و ارتباط ها (به عنوان مثال تعداد گام دو) است. در واقعهدف اصلی متوازن ساختن تاخیردسترسی های حافظه اصلی بوسیله یک برنامه در فاز اجرا می باشد. دو ایده اصلی مطرح شدهعبارت است از ایده اول ، اولویت گذاشتن روی پیام های پاسخ در یک دوره زمانی مشخص شده و رسیدن به یک الگوی یکپارچهاز تاخیر دسترسی به حافظه و ایده دوم اولویت گذاشتن روی پیام های تقاضا که مقصد آنها بانک های حافظه بیکار می باشدنسبت به پیامهایی که مقصد آنها بانکهای حافظه دیگر است با هدف بهبود کارایی و ممانعت از ایجاد صف های طولانی برخی ازبانک ها .استفاده از این دو ایده با هم منجربه بهینه شدن تاخیر دسترسی به حافظه بصورت یکپارچه می گردد.

کلیدواژه ها:

چند هسته ای ها ، مسیریاب ، حافظه اصلی وشبکه بر تراشه

نویسندگان

بهاره جعفری

دانشجوی کارشناسی ارشد معماری کامپیوتر دانشگاه آزاد اسلامی واحد علوم و تحقیقات تهران

مراجع و منابع این مقاله:

لیست زیر مراجع و منابع استفاده شده در این مقاله را نمایش می دهد. این مراجع به صورت کاملا ماشینی و بر اساس هوش مصنوعی استخراج شده اند و لذا ممکن است دارای اشکالاتی باشند که به مرور زمان دقت استخراج این محتوا افزایش می یابد. مراجعی که مقالات مربوط به آنها در سیویلیکا نمایه شده و پیدا شده اند، به خود مقاله لینک شده اند :
  • Edition .Available from: http:/www. itrs.net /Links/2005 ITRS/AP2005 .pdf. ...
  • "ANUCA substrate for flexible CMP cache sharing , in ICS, ...
  • . Assembly and Packaging, ITRS, International Technology Roadmap for S ...
  • Memory Access Latency in NoC-Based Multicores" in MICRO , 2012. ...
  • _ S , Rixner, W.J .Dally, J.J .Kapasi, P .Mattson, ...
  • Columbia, C anada, 2000, pp , 128-138. ...
  • .K.J .Nesbit, N .Aggarwal, J .Laudon, and queuing ...
  • S ociety, Orlando Florida, USA, 2 006, p.20 8-222. and ...
  • .Y. Kim, D .Han, O.Mutlu ...
  • S _ c iety, Bangalore, India, 20 10, pp. 1-12. ...
  • .Dehyadegari , M as oud, Mohammadi , Siamak, ...
  • Yazdani .Naser: Distributed fair DRAM Scheduling in network on chips ...
  • . M. M. K. Martin, D. J. Sorin, B. M. ...
  • . F. Fazzino, M. Palesi, D. Patti, Noxim: Network- from: ...
  • _ : //noxim. sourceforge .net>, 2010. ...
  • . G.L., Yuan, A. Bakhoda, T.M. Aamodt, Complexity effective memory ...
  • نمایش کامل مراجع